library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity convertor is
port(datain:in std_logic_vector(3 downto 0);
dataout:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)
);
end convertor;
architecture behav of convertor is
begin
process(datain)
begin
if datain(3)='0' then
dataout <= datain;
else
dataout(3) <= datain(3);
dataout(2 downto 0) <= NOT datain(2 downto 0) + '1';
end if;
end process;
end behav;